SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC)

                                                          **  Bringing Sustainability to Semiconductor Manufacturing **

A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research Corporation's Global Research Collaboration (GRC) Research Program
 




 

 

Home  : Seminar Series : Schedule
Schedule:

Adobe Acrobat Reader For archived presentations:  1998  1999   2000  2001  2002  2003  2004  2005  2006 2007

- 2008 -
Jan. 10 No TeleSeminar
Jan. 24 Host:  Ara Philipossian, Chemical and Environmental Engineering, University of Arizona
Presentations by:
  Yasa Sampurno and Xiaomin Wei, Chemical and Environmental Engineering, University of Arizona
Topic titles
1.  Yasa Sampurno:
  "Applications of Shear Force Spectral Analysis in STI CMP"
2.
  Xiaomin Wei:
  "Tribological, Kinetic, Thermal and Flow Characteristics of PPS and PEEK Retaining Rings"
Abstracts:
1Sampurno: This study explores the transition of shear force spectral fingerprint during STI CMP using an APD-500 polisher capable of simultaneously measuring real-time shear force and down force at high frequencies. Fast Fourier Transformation is performed to convert the shear force data from time domain to frequency domain and to illustrate the amplitude distribution of the shear force. Such frequency spectra give in-depth insight into interactions among abrasive particles, the pad and the wafer. First, the effect of different ceria particle size on coefficient of friction (COF) and removal rate is studied using 200-mm blanket PETEOS wafers. COF and removal rate are found to both increase with particle size, with saturation observed at the largest particle size studied. Secondly, STI patterned wafers are over-polished using one of the above cerium oxide slurries. Results show that shear force increases during polishing when the HDP oxide layer is removed thus exposing the Si3N4 layer. At the same time, variance of shear force is reduced. Unique and consistent spectral fingerprints are generated showing significant changes in several fundamental peaks before, during, and after transition to silicon nitride polishing. It is believed that such spectral fingerprinting can be used to monitor the STI polishing process in real-time. [Y. Sampurno 1; F. Sudargho 1,2; Y. Zhuang 1,2; T. Ashizawa 3; H.Morishima 3; and A. Philipossian 1,2 :  1 - Dept. of Chemical and Env. Engineering, University of Arizona, Tucson, Arizona, USA; 2 - Araca, Inc., Tucson, Arizona, USA; 3 - Hitachi Chemical Co., Ltd., Tokyo, Japan]
2. 
Wei:
Retaining rings, with two designs, made of polyphenylene sulfide (PPS) and polyetheretherketone (PEEK), were subjected to wear tests to quantify their tribological, kinetic, thermal and flow characteristics. White light interferometry was used to precisely measure local wear rates. Additionally, a series of data including shear force, down force, coefficient of friction (COF) and pad surface temperature were captured in-situ using an Araca APD - 500 polisher. The PEEK rings were also subjected to residence time distribution (RTD) tests at various pressures, slurry flow rates and sliding velocities. Based on the open-system reactor design theory, the mean residence time (MRT) was extracted from the corresponding coefficient of friction (COF) vs. polish time curves [X. Wei 1; A. Philipossian 1,2; Y. Sampurno 1; F. Sudargho 1,2; Y. Zhuang 1,2; C. Wargo 3; and L. Borucki 2 : 1 - Dept. of Chemical and Env. Engineering, University of Arizona, Tucson, Arizona, USA; 2 - Araca, Inc., Tucson, Arizona, USA; 3 - Entegris Corporation, Billerica, MA 01821 USA].
(1-Sampurno: PDF; 2-Wei: PDF)
Feb. 7 HostsKrishna Saraswat and Paul McIntyre, Stanford University
Presentation by:
  Andrew Kummel, University of California-San Diego
Topic Title:  "Scanning-Tunneling Microscopy and Spectroscopy of Oxide Deposition on III-V"
Abstract:  The correlation between the atomic bonding structure and the electronic structure at oxide-semiconductor interfaces is critical to understanding how atomic scale changes in electronic structure can cause localization of electrons or holes at these interfaces.  All logic devices function by having an electric field perturb the electronic structure of a semiconductor to change its resistance thereby activating the device.  The key material in this process is the interface between the gate oxide and the semiconductor.  Any fixed charge or defects which trap electrons or holes destroy the device operation because the electric field will be terminated by interface charges instead of being transmitted into the semiconductor where the electrons or holes are conducted.  We have used atomically resolved scanning tunneling microscopy (STM) images and scanning tunneling spectra (STS) to determine the atomic and electronic structure at the gate-oxide semiconductor interface.  Our research focuses upon the group III-V semiconductors (GaAs, InGaAs, InAs) since they offer electron speeds up to 30x greater than silicon as well as germanium since it offers 3x higher hole speeds than silicon. In general, electronically passive interfaces are formed when oxide deposition does not disrupt the semiconductor lattice but instead restores the semiconductor surface atoms back to more bulk-like electronic structure.  Even in the absence of a lattice disruption, oxide deposition can create new states in the bandgap thereby pinning the Fermi level by two mechanisms: direct (the adsorbate induced states in the bandgap region) and/or indirect (generation of undimerized surface atoms).  Insight into the atomic structure at the buried interface has also been obtained by performing density function molecular dynamics calculations to simulate the oxide/semiconductor interface.  (PDF)
Feb. 21 No TeleSeminar
February 28th-29th: 12th Annual ERC Review Meeting, Tucson AZ
March 6 No TeleSeminar
March 20 HostFarhang Shadman, Chemical & Environmental Engineering, University of Arizona
Presentation by:
  Carl Geisert, Sr. Principal Engineer, FMS Manufacturing, Intel Corporation and Junpin Yao, Ph.D. Candidate, Chemical & Environmental Engineering, University of Arizona
Topic title:  "Lowering Material and Energy Usage during Dry-down of Ultra-pure Gas Delivery Systems" 
Abstract:  Moisture is a key impurity in ultra-pure gas delivery systems.  During the system start-up and the cleaning of systems that have been contaminated during operation, very large amount of UHP gases and long purging time are required to clean the above systems.    This research systematically studied the fundamental interaction mechanisms between moisture molecules and various surfaces and components widely used in a typical gas delivery system.  Using a combination of a unique experimental method and a process model, a methodology is developed to predict moisture removal and distribution in main gas delivery lines and in lateral tool supply lines.  Methods are developed to optimize purge conditions to reduce purge time and cost.  This approach is also used to evaluate the adverse effects of dead legs (stagnant regions) in UHP systems, and to figure out the minimum/critical flow rate needed to block back- diffusion from tools and open ports.  During a contamination process, the dead legs play as a moisture trapping reservoir and are difficult to clean.  The slow intrusion of moisture out of the dead leg can contaminate the main gas stream.  The results also show that the minimum gas flow rate to block back diffusion from ambient or contaminated zones depends on the geometry of the pipe, tolerance levels, and impurity concentration of contamination source.  Case studies using fab typical operating conditions show that significant reduction of purging time and gas usage can be achieved using the results of this work.  For example, instead of steady state purge with high gas flow rate and high purity gas, the purge process can be optimized by ramping purge gas purity and flow rate.  In some cases, it is also preferred to operate purging under low pressure if it is allowable with actual situations. [Authors:  Junpin Yao, Harpreet Juneja, Asad Iqbal and Farhang Shadman, Chemical and Environmental Engineering, University of Arizona;  Carl Geisert, Intel Corporation] (PDF)
April 3 Host:  Duane Boning, Electrical Engineering and Computer Science, Massachusetts Institute of Technology
Presentation by:  Professor Tim G. Gutowski, Department of Mechanical Engineering, Massachusetts Institute of Technology
Topic title:  "Energy and Exergy Efficiency of Manufacturing Processes"
AbstractIn this talk we look at general trends in manufacturing process energy and material intensity. The talk includes a brief introduction to the exergy concept, which serves as a framework for comparing processes. A very wide range of process are considered including; conventional processes such as machining, casting and injection molding, so called “advanced machining” processes such as laser machining, electrical discharge machining and abrasive water jet, as well as various semiconductor and nanotechnology vapor phase processes such as Chemical Vapor Deposition, Plasma etching and thermal oxidative treatment. The trends show that energy (and exergy) efficiencies have decreased by eight orders of magnitude over the last several decades. The talk closes with comments on the advantages and disadvantages of the exergy measure. Many of the results found in the talk come from our 2007 and 2008 IEEE ISEE papers.  (PDF)
April 17 Host:  Srini Raghavan, Materials Science & Engineering, University of Arizona
Presentation by:  Manish Keswani, Chemical & Environmental Engineering, University of Arizona
Topic Title"Megasonic Cleaning of Wafers in Electrolyte Solutions: Possible Role of Electro-Acoustic and Cavitation Effects"
Abstract: Megasonic cleaning is routinely used in the semiconductor industry to remove particulate contaminants from wafer and mask surfaces. Cleaning is typically done in alkaline solutions with power density and frequency of acoustic field being the key variables. Etching of films, cavitation and acoustic streaming have been considered as the primary cleaning mechanisms.  Interestingly, our recent studies have shown that near neutral solutions, without any etching of films, can indeed clean wafers. One possible explanation for this cleaning effect relies on electrical phenomena that accompany propagation of sound through electrolyte solution.
The propagation of sound waves through an electrolyte solution containing particles typically results in the generation of two types of oscillating electric potentials, namely, Ionic Vibration Potential (IVP) and Colloid Vibration Potential (CVP).  These potentials and their associated electric fields can exert forces on particles adhered to a surface, resulting in their removal.  In addition, the pressure amplitude of the sound wave is also altered in solutions of higher ionic strengths, which can affect the cavitation process and further aid in the removal of particles from surfaces. Investigations have been conducted on the feasibility of removal of particles from Si wafers in electrolyte solutions of different ionic strengths irradiated with megasonic field of different power densities. Cleaning experiments have been performed using potassium chloride (KCl) as a model electrolyte and silica particles as model contaminant particles. In order to characterize the cavitation events in KCl solutions, acoustic pressure and sonoluminescence measurements have been performed using hydrophone and cavitation probe respectively. The results indicate that particle removal efficiency (PRE) increases with KCl concentration and transducer power density and much lower power densities are required at higher KCl concentration for a comparable level of cleaning. Theoretical computations show that the removal forces due to CVP are much larger in magnitude than those due to IVP and are comparable to van der Waals adhesion forces.  (PDF)
May 1 Host:  Christopher Ober, Materials Science & Engineering, Cornell University
Presentation by:  Dr. Robert D. Allen, Manager of Lithography and Water Filtration Materials, IBM Almaden Research Center
Topic Title:  "Chemistry in the design of new resists and other advanced patterning materials"
Abstract:  Advanced lithography materials research is important, challenging and incredibly interesting.  At this time, immersion lithography is beginning to impact volume manufacturing and already the question of 'what's next' is really becoming urgent due to the long development cycles and extreme expense of lithography technology.  Many potential future technologies have materials challenges at their core.  Our research program seeks to deeply understand materials implications of new lithography technologies, hopefully to then design new materials that will help enable future lithography, and to generate valuable IP in the process.  This program has produced strong commercial impact spanning many generations of technology.
This talk will introduce our program at IBM Almaden Research Center, will then discuss materials for immersion lithography, and finally will discuss materials and concepts for future patterning.  (PDF)
May 15 Host:  Reyes Sierra, Chemical & Environmental Engineering, University of Arizona
Presentation by:  Laurie Beu, Consultant to ISMI/SEMATECH
Topic title:  "ESH and ITRS Impacts on Semiconductor Technology Development"
Abstract:  Sustainable development had been defined as, “Development that meets the needs of the present without compromising the ability of future generations to meet their own needs.”  While regulatory compliance has been a major focus of many Environmental, Safety and Health (ESH) programs, sustainable development is a concept that is beginning to impact how semiconductor industry technologists design products and processes.  In 2007 the International Technology Roadmap for Semiconductors (ITRS) underwent a major revision and substantive changes were made to the ESH Chapter.  In addition to stressing business sustainability, four underlying strategies are built into the ESH chapter:
  • Understand (characterize) processes and materials to create a development baseline;
  • Use materials that are less hazardous or whose byproducts are less hazardous;
  • Design products and systems (equipment and facilities) that consume less raw material and resources; and
  • Ensure factories are safe for employees.

This seminar will review the drivers for sustainable semiconductor manufacturing, and will provide an overview of the 2007 ITRS ESH Chapter and its implications for semiconductor technologists.  (PDF)

May 29 Host:   Karen Gleason, Professor of Chemical Engineering and Associate Dean of Engineering for Research, Massachusetts Institute of Technology.
Presentation by
Karen Gleason, Massachusetts Institute of Technology
Topic title:  "
Low Environmental Impact Processing of Sub-50 nm Interconnect Structures"
Abstract:
A novel method for processing of sub-50 nm structures by using carbon nanotube (CNT) masks and integrating quantum dots (QDs) on patterned polymer substrates has been established. Poly(styrene-alt-maleic anhydride) (PSMa) was prepared by the initiated chemical vapor deposition (iCVD ) method, an alternative to spin-on deposition. The sub-50 nm PSMa polymer patterns were prepared by low energy oxygen plasma etching by using CNTs as the masks. The water soluble, amine-functionalized QDs underwent the nucleophilic acyl substitution reaction with the PSMa containing anhydride functional groups. This integration method for developing high performance QDs devices on inexpensive, lightweight flexible substrate avoids energy intensive fabrication of high purity silicon wafer.  [Chia-Hua Lee, Wyatt Tenhaeff, Karen K. Gleason, Department of Chemical Engineering, Massachusetts Institute of Technology] (PDF)
June 12 Host:  Anthony Muscat, Chemical & Environmental Engineering, University of Arizona
Presentation by:  Clark Lantz, Department of Cell Biology and Anatomy, University of Arizona
Topic title:  "Environmental Health and Nanomaterials: Development of exposure analysis, toxicity tests, and predictive risk assessment methods"
Abstract:  The ability to predict adverse safety and health outcomes from exposure to new and existing nanoparticles is essential for reducing potential negative effects.  Development of tools that can identify material properties that are associated with adverse effects prior to their incorporation into widespread industry use will greatly reduce their impact on safety and health.  Our goal is to develop and validate an analysis framework that will integrate data from exposure monitoring, biological interaction studies and material chemical and physical properties, resulting in risk prediction of safety and health outcomes based on material properties.  [R. Clark Lantz, Paloma Beamer, Paul Blowers, Scott Boitano, Jefferey L. Burgess, and Pierre Herckes, University of Arizona and Arizona State University] 
Rationale
Mechanistic risk assessment models must be available to proactively characterize nanomaterial behavior with respect to human health through multiple exposure routes and pathways. To complete these models we need to determine nanoparticles absorption capabilities across various biological membranes (i.e., lungs, skin) and assess the interactions of absorbed contaminants with physiological systems and ultimately identify how adverse health effects may occur from this new class of materials.  In addition, it will be necessary to define and measure exposure from inhalation, ingestion and/or skin absorption, with the most common pathways of exposure being inhalation and dermal through air and water.  We will address and integrate the following four areas that are of interest to the industry:  definitions of nanoparticle dose metrics (Herckes); hierarchical risk assessment methods (Beamer); nanoparticle-bio interaction studies (Lantz, Boitano, Burgess, Beamer); and predictive materials modeling and statistical data-mining (Blowers).  This project will advance the ability to predict adverse Environmental Safety and Health (ESH) effects of nanoparticles by assembling and integrating an innovative interdisciplinary team with cutting-edge laboratory, field and modeling skills.  The team includes researchers in cell biology, physiology, exposure assessment, in silico modeling and risk assessment.  Team members are from five different Departments (Cell Biology; Physiology; Community, Environment and Policy; Chemical and Environmental Engineering; Chemistry and Biochemistry) at two Universities. (PDF) (1) (2) (3)
June 26 HostJames Farrell, Chemical & Environmental Engineering, University of Arizona
Presentation by
James Baygents, Chemical & Environmental Engineering, University of Arizona
Topic title: "Electrocoagulation and Water Sustainability: Silica and Hardness Control"
Abstract:  Electrocoagulation as a technology to treat and purify large volumes of water begins with 19th Century British and French patents, issued in 1887 for a wastewater treatment method that, today, would be termed electrocoagulation (EC). The first reported use of EC occurred in 1889 at a London water treatment plant predicated on these 1887 patents.  The first US patent on EC dates back to 1906.  By the mid-20th Century, EC fell out of favor as a large-scale (e.g. municipal) water-treatment technology owing to what were, then, high operating costs and the availability of bulk chemical coagulants.

More recently, EC has attracted renewed interest, especially in the context of specialized industrial water treatment applications.  Several technical reviews of EC cover its broad spectrum of uses, which include:  metal ion removal; semiconductor CMP waste treatment; phosphate removal; and the removal of organic compounds and species.  In the semi-arid southwestern United States, EC has potential applications as a pre-treatment and post-treatment for reverse osmosis (RO) water purification, as well as the maintenance of water quality in cooling tower systems.

EC has several virtues as a water treatment  technology.  First, conventional chemical coagulants, such as Al2(SO4)3 and FeCl3, involve the addition of anions along with the metal cations that foster the coagulation processes.  EC adds the desired coagulating agent, e.g Al3+ or Fe2+, without adding sulphate or chloride anions.  EC is also very effective as a pre-treatment for water with high turbidity or TDS that might otherwise shorten the life of more expensive elements in a water treament train, such as ion-exchange beads or RO membranes.  Finally, EC units are relatively straightforward and, in many contemporary applications, inexpensive to run, costing a few dollars per thousand gallons.

There are myriad bench-scale studies of EC that examine the effects of a long list of operational parameters, including electrode voltage, electrode spacing and surface area, reactor residence time, solution pH, etc.  However, EC is fundamentally a coagulation process, so it should come as no surprise that, for a given contaminated stream, coagulant dose is the primary factor that determines the extent to which a targeted compound is removed from solution/suspension.  In the work to be presented, we examine the use of EC to remove dissolved silica and reduce water hardness (Ca2+ and Mg2+), emphasizing the effect of coagulant dose.  Data will be presented from a series of bench and pilot scale studies on the use of continuous-flow EC to treat two kinds of aqueous process streams, viz. cooling tower blowdown and RO reject.  These studies were carried out in collaboration with the Intel Corporation facility in Ronler Acres, OR.  The data show, at dosing levels of 1 to 3mM coagulant (Fe or Al), 40 to 90% removal of dissolved silica (and phosphate), accompanied by a 10 to 20% reduction of hardness minerals.  When operated with iron electrodes, removal of targeted compounds with the 6-gallon/min pilot unit was in remarkable agreement with that achieved in the 1-liter/min bench-top device—demonstrating that the EC process scale-ups up straightforwardly based on coagulant dose.  [James C. Baygents and James Farrell, Department of Chemical and Environmental Engineering, The University of Arizona]  (PDF)

July 10 Host: Yoshio Nishi, Electrical Engineering, Stanford University
Presentation by Masaharu Kobayashi, Electrical Engineering, Stanford University
Topic title: "Novel Contact Technology in Metal/Ge Schottky Junction for Metal Source/Drain Ge NMOSFET Application"
Abstract:  Non-silicon channel material, especially Ge, is one of the key technology boosters to enhance device performance.  There are demonstrations of superior performance in Ge PMOSFETs to Si.  Ge NMOSFETs are, however, still not superior to Si.  A major obstacle for Ge NMOSFETs is the large source/drain (S/D) resistance due to poor dopant incorporation into Ge.  Although metal S/D is a possible candidate to reduce S/D resistance, strong surface Fermi level pinning of Ge results in high Schottky barrier height for electrons (FBNeff) with typical germanides, such as NiGe, TiGe, CoGe. To mitigate this problem, reduction of FBNeff is necessary to achieve low S/D resistance in Ge NMOSFETs.  In this paper, Fermi level depinning in metal/Ge Schottky junction was experimentally and systematically investigated and it is found that an ultrathin SiN successfully released Fermi level pinning and achieved very low FBNeff.  Ohmic transport was realized in metal/n-Ge Schottky junction by the interfacial layer technique.  Contact resistance between different workfunction metal and n-Ge was exponentially correlated with FBNeff,and FBNeff was linearly modulated by changing metal workfunction with pinning factor 0.3, which proved that Fermi level pinning was released and FBNeff can be modulated by interfacial layer, not by the nature of bulk Ge.  Finally, the metal S/D Ge NMOSFET with low S/D resistance and low leakage current was successfully demonstrated.  We also proposed high vinj from a low WF metal source.  This novel junction technology is feasibly integrated with high-k/metal gate and 3D-IC technologies which require low thermal budget process. (PDF)
July 24 Host:  Duane Boning, Electrical Engineering and Computer Science, Massachusetts Institute of Technology
Presentation by:  Vladimir Bulovic, Lab of Organic Optics and Electronics, Massachusetts Institute of Technology
Topic Title:  "Nanostructures in Green Optoelectronics"
Abstract:  Nanoscale elements such as molecules, polymers, and nanocrystal quantum dots can be assembled into large area functional optoelectronic devices that surpass the performance of today's state-of-the-art technologies.  Advances in thin film processing of nanostructured material sets and concomitant development of physical models of nanostructured device operation are rapidly advancing this science and engineering field.  Nanostructured optoelectronics is making inroads into "green" energy production technologies (such as photovoltaics and thermolectrics) and broad-scale energy consuming technologies (such as energy efficient lighting and low-power display applications).  Projected performance efficiencies and production scalability of select nanostructured optoelectronics suggest their ubiquitous use in the near future.  As an example of a recent nanoscale technology evolution, the talk will highlight advancements in use of molecules and colloidaly-synthesized quantum dots to fabricate light emitting devices of high color quality and broadly deployable photovoltaics. (PDF)
Aug. 7 Host: Ara Philipossian, Chemical & Environmental Engineering, University of Arizona
Presentation by:  Yun Zhuang, Research Associate, Chemical & Environmental Engineering, University of Arizona
Topic title
:
  "Analyses of Diamond Disc Substrate Wear and Diamond Micro-Wear in Copper Chemical Mechanical Planarization Process"
Abstract: 
Diamond disc substrate wear and diamond micro-wear in copper chemical mechanical planarization process were investigated in this study. Three types of diamond discs (D1, D2, and D3) made by different manufacturers were analyzed. For each type of diamond disc, 24-hour static etch tests were performed with Cabot Microelectronics Corporation iCue 600Y75 and Fujimi PL-7103 slurries at 25 and 50 °C. SEM analysis was performed on the diamond disc substrate and individual diamonds before and after the static etch tests. In addition, ICPMS analysis was performed before and after the static etch tests to investigate the metal concentration changes in the slurry. The SEM analysis shows no appreciable wear on the individual diamonds for all three types of diamond discs with both slurries at 25 and 50 °C. The SEM analysis also shows no appreciable wear on the diamond disc substrate for Disc D1 and Disc D3 with both slurries at 25 and 50 °C. On the other hand, the SEM analysis shows apparent surface corrosion for Disc D2 with Cabot Microelectronics Corporation iCue 600Y75 slurry at 50 °C and with Fujimi PL-7103 slurry at both 25 and 50 °C. The ICPMS analysis shows with Fujimi PL-7103 slurry, the Ni concentration in the slurry increases appreciably at 25 and 50 ºC for Disc D1; the Ni concentration in the slurry increases significantly at 25 ºC and increases dramatically at 50 ºC for Disc D2. With Cabot Microelectronics Corporation iCue 600Y75 slurry, the ICPMS analysis indicates that the Ni concentration in the slurry increases appreciably at 25 and 50 ºC for Disc D1; the Ni concentration in the slurry increases appreciably at 25 ºC and increases dramatically at 50 ºC for Disc D2, resulting in an extremely high activation energy for Ni corrosion. In comparison, the ICPMS analysis indicates that for both slurries, there is barely any increase in the Ni concentration in the slurry at 25 and 50 ºC for Disc D3. In addition to the above static etch tests, 24-hour wear tests were performed with both slurries for each type of diamond disc on Araca’s APD-800 polisher at 25 and 50 °C. SEM analysis was performed on the diamond disc substrate as well as on two selected aggressive diamonds and one selected inactive diamond before and after the wear tests. The SEM analysis indicates there is no appreciable wear on the diamond disc substrate for Disc D1 and Disc D3, but there are apparent surface corrosion and cracks formed on the disc substrate for Disc D2 with both slurries at 25 and 50 °C. The SEM analysis shows there is no appreciable wear on the inactive diamond but appreciable wear on the cutting edges of aggressive diamonds for all three types of diamond discs. In addition, the SEM analysis shows an aggressive diamond breaks from the diamond disc substrate with Fujimi PL-7103 slurry at 50 ºC for Disc D2. During the above static etch and wear tests, white light interferometric analysis was performed on a 4 x 4 mm2 area as well as individual aggressive diamonds to quantify diamond disc substrate wear and diamond micro-wear. Diamond disc surface (including disc substrate and embedded diamonds) height probability density functions were established through the interferometric analysis. However, as the white light interferometer does not capture the cutting edges of individual diamonds and the boundaries between embedded diamonds and disc substrate because most diamonds have non-flat and irregular shapes, it is concluded that the interferometric analysis can not quantify diamond disc substrate wear and diamond micro-wear accurately. Currently, confocal microscopic analysis, which provides better images of diamond disc substrate and individual diamonds, is being performed to enable quantitative characterization of diamond disc substrate wear and diamond micro-wear. The pad thickness profile was measured by micrometry after the wear tests. The pad wear rate analysis indicates that for both slurries at 25 ºC, Disc D1 generates the highest pad wear rate while Disc D3 generates the lowest pad wear rate. On the other hand, Disc D2 generates the highest pad wear rate while Disc D3 generates the lowest pad wear rate for both slurries at 50 ºC. For both slurries, the pad wear rate decreases with the increase of the platen temperature for Disc D1 and Disc D3. On the other hand, the pad wear rate increases with the platen temperature for Disc D2 for both slurries. For all three types of discs, the pad wear rate for Fujmi PL-7103 slurry is significantly higher than Cabot Microelectronics Corporation iCue 600Y75 slurry indicating slurry abrasives and abrasive concentration have significant impacts on the pad wear rate.  (PDF)
Aug. 21 Host:  Anthony Muscat, Chemical & Environmental Engineering, University of Arizona
Presentation byProfessor Megan McEvoy, Biochemistry Department, University of Arizona
Topic title:  "Biologically Inspired Nano-Manufacturing"
AbstractWe are exploring the use of a novel additive (bottom-up) process using biologically-active metal transport proteins to grow three-dimensional arrays of nano-structures over macroscopic areas on semiconductor surfaces.  This approach using metal transport proteins could be used to build nano-structures with lateral length scales below 20 nm.  Current lithographic techniques use hundreds of process steps and large volumes of chemicals, energy and water creating significant amounts of waste. Biological systems are many orders of magnitude more efficient in energy and material usage.  The research objective is to mimic the techniques that nature uses in biological systems for reproduction, selective deposition, patterning, fluid separation and purification.  Transporter proteins isolated from E.coli bacterial cells will serve as uniform and reproducible "pores" of a very small diameter. The metal transporters, embedded in a lipid membrane, actively pump metal ions against a concentration gradient utilizing the energy from ATP hydrolysis. Considered as fabrication process components, metal transporter systems show high selectivity to metals, reproducibility, efficiency, narrow pore size (<2nm), and activity under mild reaction conditions. In the present study we have focused on the 80 kDa Cu(II) transporting ATPase CopB, from a thermophilic organism, Archaeoglobus fulgidus, as a model metal transporter. (PDF)
Sept. 4 Host:  Jim Watkins, Professor of Polymer Science and Engineering, Director of NSF Center for Hierarchical Manufacturing, Co-Director of MassNanoTech, Polymer Science and Engineering Department, University of Massachusetts
Presentation by:  Dr. Cengiz S. Ozkan, Associate Professor of Mechanical Engineering, Co-faculty of Electrical Engineering and the Materials Science and Engineering Program, University of California, Riverside
Topic title"Directed Assembly of Nanostructures for Nanoelectronics"
Abstract The ITRS (International Technology Roadmap for Semiconductors) anticipates that the scaling of CMOS (complementary-metal-oxide-semiconductor) technologies may end with 22 nm pitch length (9 nm physical gate length) by 2016. The ability to scale within the last several decades has fueled multiple industries ranging from high speed electronics to storage applications, and has led to new and improved defense and industrial products. The development of nanopatterning techniques including self and directed assembly are key for enabling such innovations, which demand the patterning of nanostructures with ever-smaller features in the 1-100 nm range. Bottom-up approaches for fabricating nanodevices using nucleic acid sequences and viral templates will be described. Understanding the mechanism of charge transport across the bio-inorganic interfaces could result in the development unconventional and revolutionary nanodevices. Electrical characterization of DNA assembled nanoarchitectures demonstrate negative differential resistance which indicates a route to fabricating molecular resonant tunneling diodes. A novel memory effect was discovered for viral nanoarchitectures, based on formation of bistable states. Such studies illustrate the great promise of nanoengineering of functional materials and systems, and indicate new avenues of technology and industry development which will have an impact in our society as “the next industrial revolution”.   (PDF)
Sept. 18 Host:  Ara Philipossian, University of Arizona
Presentation by Professor Rob White, Mechanical Engineering, Tufts University
Topic title:  "In Situ Characterization of the Mechanical Aspects of CMP"
Abstract:  The objective of this project is to acquire in situ data during chemical mechanical planarization (CMP) including slurry flow patterns and flow velocity, wafer-pad contact percentages, wafer-scale friction, and small-scale force measurements. The principle experimental platform used is a heavily instrumented Struers RotoPol-31 table top polisher. Measurements are taken for a variety of downforces (0.3-2.5 psi), pad-wafer relative velocities (0-1.0 m/s), pad grooving (flat, XY grooved, and AC grooved), and slurry injection points. Slurry flow patterns and slurry velocities are measured in situ using flow tracers. Both qualitative (flow visualization) and quantitative (particle image velocimetry) data have been gathered. Dual Emission Laser Induced Fluorescence (DELIF) has been employed to measure slurry thickness and pad-wafer contact percentage in situ. Slurry thickness are on the order of 0-60 ìm, and mean measured contact percentage was less than 1%. A combination of force and laser sensors have been used for synchronous, in situ measurements of COF and wafer orientation. Average COF values ranged from 0.45 to 0.57 and we find the wafer pitches nose up relative to the rotating polishing pad by up to 0.65 degrees. Micromachined force sensors have been eveloped for use in characterizing local, in situ shear forces. The sensors show polishing forces to be highly variable in time with magnitudes between 0 and 300 micronewtons and time scales on the order of milliseconds.  (PDF)
Oct. 2 Host:  Alan West, Department of Chemical Engineering, Columbia University
Presented by
: Kristin G. Shattuck, Department of Chemical Engineering, Columbia University
Topic title:  Characterization of Phosphate Electrolytes for use in Cu Electrochemical Mechanical Planarization
Abstract:  Due to the introduction of fragile low-k dielectrics, there is a need to modify current techniques used during planarization to avoid dielectric degradation.  Because of this, one of the most important factors to consider is the downforce required to achieve planarization.  Currently, there are chemical mechanical planarization  (CMP) processes being developed that can provide polishing at low  downforces however, electrochemical mechanical planarization (ECMP) could offer additional upgrades to current techniques.  ECMP can not only operate at low downforces (<1.0 psi), without slurry particles or oxidizers, but it can also be tailored to achieve specific dissolution rates via applied potential and, through the use of inhibitors, potentially achieve high levels of planarization. Through the modification of a phosphate based electrolyte, by the use of benzotriazole (BTA), a possible ECMP electrolyte was developed.  A broad range of electrolyte characteristics were screened by utilizing a rotating disk electrode (RDE).  The most important parameters investigated were pH, salt concentration, and BTA concentration.   Results from the RDE were compared with results achieved using both blanket and patterned wafer samples on a custom built ECMP tool.  A recommended electrolyte composition will be presented as well as a means to predict planarization capabilities of electrolytes through the use of a hypothetical planarization factor. (PDF)
Oct. 16 Host Farhang Shadman, Chemical and Environmental Engineering, University of Arizona
Presentation by:  
Jun Yan, Research Scientist, Chemical & Environmental Engineering, and Kedar Dhane, Ph.D. Candidate, Chemical & Environmental Engineering, University of Arizona
Topic title:  "Fundamental Characterization of the Dynamics of Rinsing, Cleaning, and Drying of Patterned Wafers and Nano-Structure" 
Abstract:  Rinsing and cleaning of patterned wafers are complex processes that involve multiple mechanisms including bulk fluid transport, molecular transport, and interface as well as surface interactions.  These fundamental process steps are poorly understood and the process recipes are typically developed and controlled empirically and rarely through fundamental process analysis and optimization.  The key shortcoming is the lack of reliable in-situ and robust metrology tools and technology.  To overcome this technology gap, an electrochemical residue sensor (ECRS) is developed which is the first sensor technology with the capability of in-situ and real-time monitoring the residual contaminants inside trenches and vias of patterned structures. ECRS has high sensitivity (down to ppt range) and can be used for both process characterization and process control.  The results show promising prospects of the applications of the ECRS for various surface preparation steps.  The experimental results obtained by ECRS application are used to develop process models for rinsing and drying; these models will be presented and discussed. (PDF)
Oct. 30 Host:  Chris Ober, Materials Science & Engineering, Cornell University
Presentation by:   Abhinav Rastogi, Cornell University and Gregory N. Toepperwein, University of Wisconsin
Topic title: "Environmentally Benign Development of Standard Photoresists in Supercritical Carbon Dioxide"
Abstract:   Environmentally benign supercritical carbon dioxide (scCO2) has been utilized as an “ecological” solvent for a wide variety of applications including the enhancement of the processing performance in photolithography, especially in the development step. However, non-polar scCO2 is generally a very poor solvent for high molecular weight standard polymer photoresists.  A series of additives, quaternary ammonium salts (QAS), have been designed and synthesized. The ability of these salts to assist in the dissolution of resist materials was studied and compared using an ESCAP type, 193 nm, poly(hydroxystyrene) based model photoresists.  In parallel to the experimental work, we carried out computational simulations to gain better insight into the mechanism of the solubility switch of resists in the presence of our salts. Our experimental and computational work will be presented. We will also present the  lithographic evaluation of a standard polymer photoresist in scCO2.    (PDF)
Nov. 13 Host:   Reyes Sierra, Associate Professor, Department of Chemical & Environmental Engineering, University of Arizona
Presentation by:   Reyes Sierra, Chemical & Environmental Engineering, University of Arizona
Topic title:  “ESH Assessment of Biocides and Chelators used in the Semiconductor Manufacturing”
Abstract:  Chelating agents and biocides (C/Bs) are important components in aid chemistries used in a wide variety of industrial processes. This presentation will discuss the ESH characteristics of C/Bs utilized in semiconductor manufacturing, particularly in wet cleans and chemomechanical planarization operations. In addition, it will review the fate of widely used C/B compounds during biological wastewater treatment as well as their impact on aquatic life. (PDF)
Nov. 27 No TeleSeminar - THANKSGIVING HOLIDAY
Dec. 11 Host:  Karen Gleason, Massachusetts Institute of Technology
Presentation by
Nathan J. Trujillo, Department of Chemical Engineering, Massachusetts Institute of Technology
Topic title:  "Depositing and Patterning a Robust and “Dense” Low-k Polymer by iCVD"
AbstractThe new millennium has brought fourth many technological innovations made possible by the advancement of high speed integrated circuits. As the average feature size in integrated circuits continues to decrease, reducing the dielectric constant of the interconnect dielectric (ILD) becomes crucial to minimizing RC delay, power consumption and cross talk noise. Common methods for reducing the dielectric constant involve reducing the film density by incorporating void space and using precursors with inherently open structures. Void space can be induced by copolymerization of the low-k matrix with a thermally sensitive porogen molecule, which is removed in a post-processing anneal. The mechanical properties of SiCOH dielectrics generally decrease with lower k values and typically scale with porosity as (1-p)3 until the percolation point, where pores coalesce. Therefore, there is a need to improve the properties of the as-deposited “dense” films, before porogens are incorporated. Initiated Chemical Vapor Deposition (iCVD) is a low-energy, one step, solvent-free process for producing polymeric thin films from one or more monomer species and an initiator species. iCVD is an attractive technique for creating low-k films from cyclic siloxane precursors. The low-energy process produces polymeric thin films that fully retain the organic functionality of their monomer precursor.  In this talk we will discuss the deposition of a novel low-k iCVD precursor, 1,3,5,7-Tetravinyltetramethylcylcotetrasiloxane (V4D4). Dense films are deposited at low substrate temperatures and are subsequently annealed in air. The high degree of organic content in the as-deposited films affords the ability to systematically tune the film properties by annealing. The incorporation of atmospheric oxygen, at high temperatures, enhances the mechanical and electrical properties of the films. These “dense” annealed films provide favorable mechanical and electrical properties for incorporating thermally sensitive porogen molecules. The structural evolution of the films was characterized by FT-IR, XPS, and TGA/RGA, and the mechanical, electronic, and optical properties were characterized by Nano-Indentation, Hg-Probe, and VASE, respectively. Furthermore, using non conventional lithography, we patterned the novel low dielectric constant polymer down to 25 nm, without the need for environmentally harmful solvents or expensive lithography tools. (PDF)
Dec. 25 No TeleSeminar - CHRISTMAS HOLIDAY

Report Changes : Top : Back