SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC)

                                                          **  Bringing Sustainability to Semiconductor Manufacturing **

A multi-university research center leading the way to environmentally friendly semiconductor manufacturing, sponsored by the Semiconductor Research Corporation's Global Research Collaboration (GRC) Research Program
 




 

 

Home  : Seminar Series : Schedule
Schedule:

Adobe Acrobat Reader For archived presentations:  1998  1999   2000  2001  2002  2003  2004

- 2005 -
Jan. 6 No TeleSeminar -- Happy New Year
Jan. 13 Host:  Farhang Shadman, University of Arizona
Presentation by:  
Dr. Andrew D. Maynard, Senior Service Fellow, National Institute for Occupational Safety and Health (NIOSH)
Topic:
  "Working with Engineered Nanomaterials: Towards Developing Safe Working Practices"
Abstract
Nanotechnology has been hailed as the next technological revolution and is poised to impact on every aspect of our lives.  Through the manipulation of matter at near-atomic scales, the technology is enabling remarkable progress in many fields to produce new materials, structures and devices with unique and truly innovative properties.  Although predominantly at the laboratory and pre-commercial stage, nanotechnology-based commercial products are already available, ranging from cosmetics to stain-resistant clothing.  The future promises significant advances in areas as diverse as next-generation electronics, high efficiency energy conversion and storage, novel sensors and advanced medical diagnostics.
As with all new technologies, exploiting the unique behavior of nanomaterials and devices also introduces the potential for unique and unforeseen health impacts.  Based on what limited data are available, the environmental group ETC have called for a global moratorium on nanotechnology, until more is known about the potential health effects.  More recently, a joint report of the United Kingdom Royal Society and Royal Academy of Engineers strongly emphasized the need to address the potential health impact of nanotechnology, particularly in the workplace. These concerns are being echoed within research laboratories, industry, government and even the insurance community. A key issue is whether currently accepted models relating exposure to health effects are valid for engineered nanomaterials: Current evidence would suggest that they are not.
The successful and responsible development of nanotechnology is dependent on minimizing the health risk to workers and others, while maintaining public confidence in the technology.  This is a daunting task, and not one that can be undertaken lightly or in isolation.  A critical element is the development of appropriate working procedures and strategies that reduce the potential for harmful health impact. Although current information on nanomaterial exposure, toxicity and control is sparse, we are in a position to begin formulating appropriate ways of working with nanomaterials based on the knowledge we do have at our disposal.  This seminar will provide a broad overview of practical considerations towards developing strategies to ensure safe working practices.  While acknowledging that all exposure routes may have relevance, emphasis will be given to understanding and addressing inhalation exposure. (PDF)
Jan. 20 Host:  Karen Gleason, MIT
Presentation by:
 Tom Casserly, Massachusetts Institute of Technology
Topic "Effect of Substrate Temperature for Plasma-Enhanced Chemical Vapor Deposition of Poly(methylmethacrylate) as a Sacrificial Material for Air Gap Fabrication” 
Abstract:  As the push toward smaller dimensions in semiconductor manufacturing continues the need for low dielectric constant (k) materials becomes ever greater.  Reducing the dielectric constant of the material between metal lines in integrated circuits not only decreases the resistance capacitance (RC) delay, but also reduces power consumption, cross-talk noise and can allow for fewer levels of interconnect.  Air has the lowest dielectric constant, 1.0.  In the January 1, 2005 issue of Semiconductor International, Senior Editor Laura Peters argues for air gaps as a low-k alternative needed at this time.  She argues that “Porous dielectrics have too many problems — at least as many as their dense counterparts — and the overall benefit they deliver, represented as the effective k value, may be small given the integration, yield and reliability challenges they pose and the costs required to surmount them.”
Poly(methylmethacrylate) (PMMA) is an excellent sacrificial material for use in air gap fabrication.  Low power plasma-enhanced chemical vapor deposition (PECVD) of methylmethacrylate is a dry method for creating thin films with properties and structure similar to that of PMMA.  Both continuous wave PECVD and pulsed-PECVD methods are examined for the deposition of PMMA.  FTIR and XPS confirm the structural similarity to bulk PMMA for both cases when using the same average power.  Increasing the substrate temperature during deposition the solubility of the resulting polymer in water, isopropyl alcohol and acetone can be reduced to zero.  The onset of thermal decomposition also increases with increasing substrate temperature.  By increasing the substrate temperature the presence of unstable oxygen bonds in the backbone of the polymer common in free radical polymerization of PMMA can be eliminated.  This creates a more stable film requiring much higher temperatures for the initial thermal decomposition to occur.  The resulting polymer has been patterned using conventional lithography, covered by layer of organosilicate glass (OSG) and annealed to form air gap structures. (PDF)   
Jan. 27 Host:  Chris Ober, Cornell University
Presentation by:
    Jim Jewett, Intel Corporation
Topic:  "Perspectives on PFOS"
Abstract:  
This TeleSeminar will be a contextual presentation on the issue with PFOS, including some background (politics, regulatory development, etc.), a look at the implications to chip manufacturing (where we use it, why it is important, etc.), some generic technical coverage, and identification of areas for potential University (ERC) research.  (PDF)
Feb. 3 Host:  IAB - Dan Seif, Advanced Micro Devices Inc.
Presentation by: 
Kathleen H. Peters, 3M Electronics Markets Materials Division, 3M Center 236-2B-01
Topic: 
"Reducing Emissions of PFC Heat Transfer Fluids"
Abstract:  PFCs were used during the 1950s in some defense applications in the United States.   The PFCs became widely used in the semiconductor industry during the 1980s, mainly in etch, plasma vapor deposition, ion implant and test processes.   In an effort to reduce the PFC emissions, a voluntary coalition of companies joined together and made a Memorandum of   Understanding (MOU) with the US EPA.  In 1999, the World Semiconductor Council (WSC)  made a commitment to decrease the PFC gaseous emissions by 10% below the 1995 baseline by 2010.  Then, in 2001, the MOU was renewed with the US EPA, spurring widespread international participation by several countries including Japan, Korea, China and Taiwan.
The efforts to decrease emissions from PFC gases was largely successful, due to process optimization, abatement and investigation of alternative chemistries.  In this talk, two approaches to reducing emissions from PFC liquids are addressed.  The first approach is to implement system optimization of onsite processes to identify leakage and minimize evaporative losses.   The second approach is to adopt alternative chemistries.  Segregated hydroflouroethers (HFEs) have a global warming potential of 0.5-5% of those from PFC liquids.  In addition, they are non-flammable,  non-corrosive,  have  zero ozone depletion, and are not regulated  in  the US for toxicity.  The use of HFEs requires little to no equipment modification from systems currently set up for PFCs liquids. (PDF)
Feb. 10 Host:  Krishna Saraswat, Stanford University
Presentation by:
  Kang-Il Seo, Senior PhD Student, Materials Science and Engineering Department, Stanford University
Topic: 
Engineering of interfacial layer between high-k (ZrO2, HfO2) and Semiconductor (Si, Ge)
Abstract:  The continued scaling of Si complementary metal-oxide-semiconductor (CMOS) devices has lead to a need to replace the SiO2 gate insulator with high-k dielectric oxides, in order to maintain a smaller leakage current without loosing gate control of the channel region.  Among many candidates, ZrO2, HfO2 and their alloys with SiO2 and Al2O3 have attracted attention due to their high permittivity and thermodynamic stability with respect to solid state reaction with the Si substrate.  Recently, these high-k dielectrics began to be studied on Ge channels to take advantage of the high intrinsic electron mobility (2×) and hole mobility (4×) of Ge, compared to that of Si.  However, when high-k materials are deposited on semiconductor, the interfacial layer inevitably forms between high-k and semiconductor, and plays a crucial role in device performance.  Usually the interfacial layer has significantly lower dielectric constant compared to the high-k film, which deteriorates the benefit of high-k layer by decreasing overall capacitance.  Also, observed device performance degradations like mobility degradation in MOS device with high-k dielectric have strongly suggested that the physical defects and electrical traps in the interfacial layer are mainly responsible for those problems.  Recently, significant amount work has been focused to investigate the physical and electrical nature of this interfacial layer.
       In this presentation, we demonstrate our recent results to engineer the interfacial layer between high-k (ZrO2, HfO2) and semiconductor ( Si (001), Ge (001) ).  First, we show that formation of a Zr-silicate interfacial layer between ZrO2 and Si substrate can be controlled by the solid state reaction between Zr and an underlying SiO2/Si substrate through in-situ vacuum anneals and subsequent ultra-violet (UV) oxidation. In-situ vacuum annealed samples containing the silicate interface layer exhibited excellent dielectric characteristics, such as negligible capacitance-voltage hysteresis (~ 10mV), lower fixed charge density, and reduced equivalent oxide thickness (EOT) compared to un-annealed samples. 
        Next, we report the chemical bonding structure and valence band alignment at the HfO2/Ge (001) interface by systematically probing various core level spectra as well as valence band spectra using synchrotron soft x-rays at beam line 8-1 of the Stanford Synchrotron Radiation Laboratory.  We found that a very thin GeOx layer of ~3Ã… thickness with highly non-stoichiometric chemical nature exists at the HfO2/Ge interface.  From the valence band spectra near the Fermi level, the valence band offset between Ge and GeOx was determined to be ΔEv (Ge-GeOx) = 2.2±0.15 eV, and between Ge and HfO2, ΔEv (Ge-HfO2) = 2.7±0.15 eV.  The implications of this highly non-stoichiometric GeOx interfacial layer and the observed energy band alignment for electrical properties such as C-V and I-V characteristics will be discussed.  (PDF)
Feb. 17 No TeleSeminar
Feb. 24 No TeleSeminar -- 9th Annual ERC Site Review Meeting (February 24-25th in Tucson AZ)
March 3 No TeleSeminar
March 10 Host:  Paul McIntyre, Stanford University
Presenters: Raghav Sreenivasan and Jeong-hee Ha, Stanford University
Topic: Sreenivasan: "Structure-Property Relations in ALD-Grown HfO2 Gate Dielectrics: Effects of Precursor Chemistry"
Abstract:  This presentation will compare the physical structure, dielectric properties and carrier trapping/conduction behavior of ALD-HfO2 gate dielectrics grown using HfCl4 and TDEAH (tetra-diethyl amido Hf) precursors.  The alkylamide precursor-grown samples exhibit superior charge trapping characteristics and less stretch-out of the capacitance-voltage curve, indicating a lower interface state density than chloride-grown ALD-HfO2.  (PDF)
Topic: Ha: "In Situ X-Ray Scattering Measurements of Phase Separation at Initially-Intermixed HfO2/SiO2 Interfaces"
Abstract:  X-ray scattering is a well-established ultra-sensitive probe of interdiffusion in multilayer thin films.  In this research, we used this technique to study a system that exhibits a strong tendency for diffusional phase separation: amorphous HfO2-SiO2 alloy layers.  Sequential deposition and low temperature UV-ozone oxidation of ultrathin Hf and Si films was used to prepare a HfO2/SiO2 multilayer.  In situ annealing experiments in an x-ray diffractometer were performed and the evolution of x-ray satellite peak intensity was used to determine the kinetics of phase separation of the initially-intermixed interfaces. (PDF)
March 17 Host:  Jeff Butterbaugh, FSI International Inc.
Presentation by:  Steve Nelson, Senior Applications Development Engineer, FSI International Inc.
Topic:  "Metrics and Methods for Reducing Rinse Water Consumption in an Immersion System"
Abstract:  Using measurements of oxide etch uniformity and pH, both overflow rinsing and dump rinsing can be optimized. Optimized rinsing is very important as wafers become larger in diameter and as the spacing between wafers in a batch becomes closer. Poorly rinsed areas on a wafer can be found by testing the oxide etch uniformity. Adjustments can then be made to the rinsing hardware or nozzles to better rinse all areas of the wafers. Measurement of the pH of the liquid in the bath or the resistivity shows how quickly the chemical in removed from the tank. However, removing the chemical from the surface of the wafers is more important. We have developed a way to use pH measurements to determine the amount of chemical near the wafer surface and have used this technique to further optimize rinsing. After optimizing our rinse we achieved better process results in less time and with less water consumption. (PDF)
March 24 Host:  Jeff Sczechowski, STMicroelectronics Inc./University of Arizona
Presentation by:  Dr. John Bare, R&D Program Director, psiloQuest
Topic: 
“Novel CMP Pad for Tungsten Polishing”
Abstract: psiloQuest has developed a CMP polishing pad which uses material technology substantially different from conventional polyurethane CMP pads.  The polyolefin foam pad has a closed cell structure which does not require diamond conditioning, has a long pad lifetime, consistent performance, and exceptionally low defects.  These properties combine to produce a lower cost of ownership.  There are additional environmental benefits from reduced slurry usage and pad consumption.  The material capitalizes on the chemical contribution to CMP rather than mechanical contribution.  In the most developed formulation, the materials or processes which can most benefit from more “C” than “M” include tungsten, copper clear, and copper barrier clear.  Polymer blend, foam density, and filler concentration can be independently varied to change foam cell size, thermal stability, hardness, and resilience.  Oxidizer levels in the slurry can be adjusted for specific applications to maximize performance for the individual user’s most important criteria, such as removal rate or erosion. (PDF)
March 31 Host:  Farhang Shadman, University of Arizona
Presentation by:  Jost Wendt, Professor and Head, Department of Chemical and Environmental Engineering, University of Arizona
Topic"High Temperature Generation, Sampling, and Analysis of Inorganic Nano-Particles and Their Health Effects"
Abstract: 
High temperature flame reactors were used to explore mechanisms governing the temporal evolution of particle size distributions of both non-volatile, and semi-volatile metals, as they pass from temperatures exceeding 2000K to the exhaust at 600K.  Of interest were Cr, Ni, Zn, Pb and Cd, which were introduced either as aqueous solutions sprayed through a gas flame, or as a distillate oil doped with organo-metallic compounds, which were subsequently burned. When nucleation of the metal vapor predominates over condensation on existing surfaces, ultra-fine nano-particles are formed.
     Modes of behavior of both single metals and binary mixtures of lead and cadmium (where nucleation of metal vapors predominated) and of cadmium and nickel (where condensation of cadmium vapor upon larger nickel particles predominated) were investigated. Particulate samples were withdrawn iso-kinetically through a rapid-dilution sampling probe from which they were quickly size segregated in a Berner, low pressure, impactor, allowing physical and chemical resolution in the submicron particle size range. The effects of sampling parameters on possible distortions in the measured particle size distributions were explored both theoretically and experimentally.  Many semi-volatile metals can be also be reactively sequestered in flight, by dispersed alumino-silicate powders.  The extent of sequestration can be determined by, the method of aerosol fractionation, which is described in detail.
     Health effects of inhaled particles containing zinc were investigated.  Here particles were sampled and re-suspended for whole animal inhalation studies.  The re-suspension device was characterized in detail.  It was shown that lung injury was more likely in the presence of sulfur than in its absence, even though ultra-fine particles were formed in both cases.  Lung injury in mice could be mitigated by employing alumino-silicate sorbents to reactively sequester the zinc before it condensed. (PDF)
April 7 Host:  Paul Blowers, University of Arizona
Presentation by:  Umur Yanal, University of Arizona
Topic:  "Water Treatment Optimization in a Basin Model for Water Resources Simulation"
Abstract: 
Available water supplies are already stressed in arid regions in general and the Southwestern US in particular. This coupled with rapid growth and an increasing demand for high quality water in these same areas. The water quality of the available sources often is not enough to meet the required level. To relieve the water shortfalls, alternative measures, such as agricultural land retirement, water transfers, and water reuse/reclamation are being studied. However, there is a lack of comprehensive tools for analyzing the effects of alternative scenarios on water quantity, quality and cost.
     The overall aim of this large project is to develop a comprehensive decision-support model for technical and non-technical audiences concerned with understanding and evaluating the impact of alternative water management options. The particular aim of this research work is to develop the water and wastewater treatment components for incorporation into a large regional model.
     Water quality is the key parameter for water use. The required water quality depends on the type of user. The quality requirements of the consumers show a wide range of diversity. Hence, the connection of users to sources is often contingent on satisfactory and affordable treatment. Traditionally, water treatment has been centralized at large water treatment plants prior to general distribution and subsequently at large wastewater treatment plants after manifolded collection of the many users’ discharges. This centralized approach is often far from optimum as it ignores economic matching of water users’ specific quality and quantity needs with proximated discharges that may immediately meet, or with modest treatment meet, the users' requirements. The optimization of selection, size, and placement of water and wastewater treatment plants will reduce overall costs and help to produce potential new water resources. Up to now, no general water balance model with the water quality as a modeled parameter exists.
     Initial model development requires selection of a limited set of water quality parameters for consideration that are representative of the main classes of contaminants of interest. Total dissolved and suspended solids, total organic carbon, biochemical oxygen demand, hardness, total nitrogen, total phosphorus, total coliform were first chosen. Furthermore, some specific compounds, e.g. bisphenol A, dieldrin, b-estradiol, arsenic, lead, and mercury were chosen to be representatives of the significant trace pollutants important in the environment.
     The optimization of the water and wastewater treatment plants is planned considering the cost as well as the removal efficiencies. So far, contaminant adsorption and the particulate matter sedimentation are modeled by granular activated carbon (GAC) and primary sedimentation basin, respectively. Optimization of further unit operations is projected to be completed by the end of April 2005.  (PDF)
April 14 Host:  Reyes Sierra, University of Arizona
Presentation by:  Dr. Jack R. Geibig, Acting Director, University of Tennessee Center for Clean Products and Clean Technologies
Topic:
"Life-Cycle Impacts of Lead and Lead-Free Solder Used in Wave Soldering of Electronics"
Abstract:  Results from a joint US EPA and US electronics industry-funded life-cycle assessment of lead and two lead-free alternative solders are presented.  Solders evaluated in the study include:
  • SnPb (paste and bar)
  • SnAgCu (paste and bar)
  • SnAgBiCu (paste)
  • BiAgCu (paste)
  • SnCu (bar)

Impact scores are reported in 16 different environmental categories for both wave and reflow soldering applications. Tin-lead solder was determined to have higher impact scores than the lead-free solders in four categories, while having lower impacts in five.  The use/application stage was the dominant contributor to most impact categories, while upstream and end-of-life processes also made significant contributions to specific impact categories, depending on the solder.  Sensitivity analyses of the effect of silver production as well as landfill leachate data are also presented. (PDF)

April 21 Host:  Anthony Muscat, University of Arizona
Presentation by:  Sarah Perry, University of Arizona
Topic:  “Preparation of High Quality Si/SiO2 Interfaces After Extended Exposure to Ambient Contamination Using Gas Phase Methoxy Passivation”
AbstractOrganic surface passivation of silicon has been shown to protect against oxidation and contamination better than the hydrogen termination resulting from aqueous etching chemistries.  This development has the potential to improve semiconductor device yield, interface quality, and to decrease chemical usage and process bottlenecking for pre-deposition cleaning.  Interface quality between the device layers, particularly for the Si/SiO2 gate region, has become increasingly critical with shrinking device dimensions.  X-ray photoelectron spectroscopy (XPS) measurements were used to characterize two gas phase reactions; the direct adsorption of methanol on hydrogen terminated silicon, and a two step iodination/methanol reaction. Methoxy termination of silicon surfaces (CH3O-Si) protected better against oxidation and adventitious carbon contamination than a hydrogen terminated surface prepared using standard aqueous phase chemistries. The organic functionality desorbed cleanly from the surface upon heating, requiring no additional removal step before thermal oxidation.  Capacitance-voltage (C-V) electrical measurements demonstrated that the use of methoxy passivation does not adversely affect the Si/SiO2 interface trap density.  The highest quality interface was achieved after exposure to ambient conditions over time by passivation using a two-step UV-iodine/methanol treatment. (PDF)
April 28 Host:  Yoshio Nishi, Stanford University
Presentation by:
  Dr. Ken Uchida, Visiting Scientist at Stanford University, on leave from Toshiba Corporation-R&D
Topic:  "Challenge and Opportunities of Future Nanoelectronic Devices"
Abstract:   Scaling down of electronic device sizes has been the fundamental strategy for improving the performance of ULSIs. MOSFETs have been the most prevalent electron devices for ULSI applications, and thus the scaling down of the sizes of MOSFETs has been the basis of the development of the semiconductor industry for the last 30 years. In recent years, the scaling of CMOSFETs is entering the deep sub-50 nm regime. In this deep-nanoscaled regime, however, fundamental limits of CMOSFETs and technological challenges respecting the scaling of CMOSFETs are encountered.
     In this presentation, the technologies to overcome these obstacles will be discussed.  The technologies include Ultrathin-body SOI and Schottky Source/Drain MOSFETs. In addition, single-electron devices for logic and security applications will be discussed. (PDF)
May 5 Host:  Stephen Beaudoin, Purdue University
Presentation by:   Richard Freeman, Managing Director, Zeeko Technologies Ltd.
Topic
“Polishing of Microelectronic Thin Films Using a Corrective Polishing Technique Developed for the Optics Industry”
AbstractThis talk reports on a new machine development that may have great relevance to the semiconductor industry.  The Zeeko polishing process has been developed for the figuring and corrective polishing (the polishing out of an error map) of high precision optics with values of Ra~2nm routine in an industrial environment.  Thus the Zeeko process enables the controlled polishing of thin layers, removing small amounts of material to create a uniform thickness that can follow the form of the underlying substrate.  While it is a young process, it has already been used in laboratory testing in conjunction with traditional CMP.  The combined process demonstrated that CMP removes material rapidly, but in a relatively uncontrolled manner, and the Zeeko process can then deliver a corrective polish to produce a smooth surface of very closely controlled figure.  Wafer thickness and flatness can each be controlled depending on the metrology feedback provided to the machine.  It is believed that this process may be able to polish semiconductor industry materials to a high and controlled surface finish, and may have applications in strained silicon production, dual damascene applications, in some MEMs applications, and in some display technologies, among others. (PDF)
May 12 Host:  David Graves, University of California-Berkeley
Presentation by:  Yoshie Kimura, Department of Chemical Engineering, UC-Berkeley
Topic:   "Vacuum Beam Studies of Radical-Surface and Ion-Surface Interactions"
Abstract:  The effects of radicals and ions from plasmas in altering surface properties during etch, deposition, cleaning, ashing and other plasma-assisted processes are not well understood.  Current and future demands on plasma process technology can be thought of as controlling the effects of the plasma-surface interactions at the atomistic scale over wafer dimensions while minimizing resource consumption and environmental, health and safety problems. Plasma process challenges include excess loss of photoresist during etch; photoresist roughening; control of critical dimension during gate electrode etch; and reducing contamination and damage during porous low k etch and ash. In this talk, I will describe an experimental system designed to reveal fundamental information about how radicals and ions alter surfaces. Examples will be provided of the use of this system in studying porous low k etch processes, and various radical-surface interactions.  (PDF)
May 19 Host:   David Mathine, University of Arizona
Presentation by:  David Mathine, University of Arizona
Topic: "
Cell-Based Biosensors for Toxicity Testing of New Chemicals"
Abstract
The rapid development of new chemicals makes the current approach to toxicity testing unrealistic since the testing relies on laborious and expensive animal testing.  However, physiological responses from cells can be used when monitoring a variety of situations including toxicity, environmental pollutants, and responses to drugs.   Therefore, cell-based biosensors provide a useful means for collecting information on the toxicity of new chemicals. 
We will report on the development of a CMOS biochip.  This chip is designed to monitor chemical, electrical and optical responses from mammalian cells.  The design of the chip along with environmental control will be discussed. (PDF)
May 26 Host:  Ralph Richardson, Air Products and Chemicals Inc.
Presentation by:  Dr. Reinaldo M. Machado, Engineering Research Associate, Air Products and Chemicals Inc.
Topic: 
"Novel Subatmospheric Gas Sources for Ion Implanters"
Abstract:  Subatmospheric gas supply technologies which serve the ion implant market are widely recognized as the preferred supply of hazardous feed gases (e.g., BF3, AsH3 and PH3). The most common subatmospheric supply relies on cylinders filled with adsorbent to store dopant gases. Recently, at Air Products and Chemicals, Inc. we have developed two novel subatmospheric supply technologies which are both in beta-testing in commercial ion implant tools. The first technology, Low Pressure Delivery (LPD), developed for PH3 and BF3, utilizes a novel, non-volatile liquid that forms a reversible chemical complex with the dopant gases allowing them to be stored at high capacity while at subatmospheric pressure. A proprietary valve allows the canister to be used in vertical or horizontal ion implant gas boxes and utilizes the same manifold and mass flow system as other subatmospheric sources. The LPD system is a drop-in replacement for adsorbent based subatmospheric gas sources. The second technology, eGas™ arsine, is an electrochemical arsine generator that when shipped or stored before use contains no arsine. This unique characteristic reduces many barriers to shipping and storage. The eGas™ arsine generator utilizes electrochemical reduction at an arsenic cathode in a caustic electrolyte to generate a dopant gas containing 93-95% pure arsine (5-7% hydrogen) to the ion implanter at a constant subatmospheric pressure, currently set at 600 torr. Both technologies generate ion beams and commercial wafers that are comparable to those generated with other subatmospheric sources.  This talk will focus on the performance and safety properties of these systems in real ion implant tools. (PDF
June 2 Host:  Krishna Saraswat, Stanford University
Presentation by:  Ammar Nayfeh, Department of Electrical Engineering, Stanford University
Topic:  "A Method to Grow Heteroepitaxial-Ge on Si: Multiple Hydrogen Annealing for Heteroepitaxy (MHAH)"
Abstract:  It is pivotal to develop new methods for heteroepitaxial Germanium (Ge) technology as Ge has been emerging as a viable candidate to augment Si for CMOS and optoelectronic applications. Ge growth on Si is hampered by the large lattice mismatch (4%), which results in growth that is dominated by “islanding” and misfit/threading dislocations, rendering the layer not useful in device application. Misfit dislocations form at the substrate/film interface and typically terminate at the film surface as threading dislocations, thus degrading device performance. 
We report a novel technique to achieve high quality heteroepitaxial Ge layers on Si.  The technique involves CVD growth of Ge on Si, followed by in-situ hydrogen annealing with subsequent growth and anneal steps and hence the name Multiple Hydrogen Annealing for Heteroepitaxy (MHAH).  Our results indicate that threading dislocation segments are deposited near the Ge/Si interface or bent parallel after hydrogen annealing indicating low threading dislocation densities with a strong reduction in surface roughness. The smoother Ge surface allowed for the fabrication p and n type metal-oxide-semiconductor (MOS) capacitors using thermally grown germanium oxynitride (GeOxNy).  In addition, we have successfully demonstrated high mobility p-MOSFETs in MHAH grown germanium layers. This MHAH technology may lead to subsequent bonding of Ge to Si/SiO2 needed for the fabrication of Germanium-on-Insulator (GOI) substrates using epitaxial Ge. (PDF)
June 9 Host:  Chris Ober, Cornell University
Presentation by: Dr. Ramakrishnan Ayothi, Postdoctoral Associate, Department of Materials Science and Engineering, Cornell University
Topic:  "Non-PFOS Photoacid Generators:  A potential candidate for Next Generation Lithography"
Abstract:  The resist material for 193 nm and next generation lithography (NGL) needs to be more photosensitive (for example EUV needs 2 mJ/cm2) because the radiation flux available for imaging decreases as the wavelength of exposure light shortens.  The increased sensitivity has been achieved by chemical amplification (CA), involving photoproduction of an acid catalyst from a photoactive compound known as a photoacid generator (PAG).  PAGs based on long-chain perfluorinated (PFOS/PFAS) onium salts have proven to be industrially useful over the myriad ionic and nonionic PAGs developed.  However, persistence, bioaccumulation and toxicity (PBT) concern, high fluorine absorption at EUV, limited compatibility and diffusion at smaller dimensions demand non-PFOS based PAGs.  This presentation will focus on the PFOS issue including some background and how a novel class of non-PFOS based PAGs can be designed for NGL. (PDF)
June 16 Host:  Rafael Reif, Massachusetts Institute of Technology
Presentation by:
  Ajay Somani, Department of Materials Science, Microsystem Technology Laboratory, MIT
Topic:  "Methodology for environmental impact evaluation with 2D v. 3D case study" Abstract:  There is an immense need of incorporating environment as a factor in decision making for future technologies. This can be only possible when there is a “fast” and “simple” approach to assess the environmental impacts/hazards of new technologies such as 3D IC. Most of new technologies such as 3D IC and strained Si when introduced to manufacturing, they modify or initiate set of new processes. This talk briefly outlines the method to compare new technologies with standard processes in terms of environmental impact.  (PDF)
June 23 No TeleSeminar -- 12th Annual ISESH Conference, Portland OR
June 30 Host:  Srini Raghavan, University of Arizona
Presentation by:  Srini Raghavan, Department of Materials Science and Engineering, University of Arizona
Topic: "Applications of Raman Spectroscopy in Copper CMP"
Abstract:  In CMP, in-situ detection of barrier to dielectric layer transition is typically done using reflectivity measurements. Introduction of carbon containing low-k materials as dielectric layers has opened up the possibility of using spectroscopic techniques for the detection of such transitions. These low-k materials, commonly referred to as carbon doped oxides (CDO), contain C-H, Si-O and Si-C bonds whose vibrational frequencies may be probed by spectroscopic techniques such as Raman and IR (infrared). Since CMP is carried out in aqueous media, water yields a strong signal and in such situations Raman technique is much more sensitive than the IR technique. In this presentation a novel set up that can be used to monitor Ta to CDO transition using Raman spectroscopy will be described. This set-up integrates an abrasion (small scale CMP) cell with a Raman spectrometer.  The sensitivity of the Raman technique will be compared to that of the commonly used reflectivity technique.  Other applications of this technique in copper CMP will be discussed. [S. Kondoju, P. Lucas, and S. Raghavan, Department of Materials Science and Engineering, University of Arizona; work supported by Intel Corporation] (PDF)
  SUMMER BREAK > July 7, 2005 through September 1, 2005
[NOTE: As of September 8th, TeleSeminars will be offered every other Thursday]
Sept. 8 Host:  Farhang Shadman, Department of Chemical and Environmental Engineering, University of Arizona
Presentation by:  Asad Iqbal, University of Arizona
Topic:  "Removal of Moisture Contamination from Porous Polymeric Low-k Dielectric Films"
Abstract:   New interlayer low-k materials are needed to address power consumption problems, signal propagation delays, and cross-talk between interconnects in the next generation of integrated circuits.  Porous low-k dielectrics (such as MSQ, methylsilsesquioxane) are among candidates to replace SiO2 as the inter layer dielectric material.  Integration of low-k dielectrics in manufacturing environment requires a better understanding of the challenges and issues that are associated with the characteristics of these new materials.  Atmospheric Molecular Contamination can affect the properties of the interlayer dielectric films, which can in turn affect the process yield and degrade the final device performance. Understanding the transport of gases through these low-k dielectric materials will assist in designing better processes for integration of low-k material in semiconductor processing.  The interaction of moisture with porous spin-on dielectric material is investigated using Atmospheric Pressure Ionization Mass Spectrometry (APIMS).  The model compound is MSQ based dielectric with k ~ 2.2 and pore diameter ~ 1.7nm.  A process model is developed that provides information on the dynamics of moisture adsorption and interaction with thin MSQ films and surface.  Using this model, the role of physical and geometric properties of the low-k film has been determined and method for optimum design and selection of low-k material is presented. (PDF)
Sept. 22 Host:  Paul McIntyre, Stanford University
Presentation by:  Hemant Adhikari, Department of Materials Science and Engineering, Stanford University
Topic"Ge Nanowire Epitaxy by CVD: Shape Control, Orientation Selection and Surface Passivation"
Abstract
We will present the results of growth of vertically aligned defect-freesingle-crystalline germanium nanowires at temperatures of 350°C or less by metal nanoparticle-catalyzed chemical vapor deposition. Single crystal Ge (111), Ge (110), Ge (001) and an epitaxially-grown Ge film on a Si (001) wafer were used to explore the epitaxial relation between the nanowires and the substrate. Various passivation routes for nanowires such as hydrogen termination and chlorine termination were studied by photoelectron spectroscopy using a low energy synchrotron source. (Authors: Hemant Adhikari, Shiyu Sun, Ann Marshall, Pierro Pianetta,Christopher E.D. Chidsey, Paul C. McIntyre - Stanford University) (PDF)
Oct. 6 Host:  Anthony Muscat, University of Arizona
Presentation by: 
Michael Durando, University of Arizona
Topic: 
"Methods and Kinetics of Copper Etching Using hfacH in Supercritical CO2"
Abstract: 
Over the past decade the semiconductor industry has aggressively investigated supercritical carbon dioxide (scCO2) to reduce the consumption of aqueous and organic solvents and to achieve technological goals unattainable with conventional solvents. Pilot studies with full wafers, however, showed mixed success, causing many companies to put scCO2 technology on the backburner. Processing in the chemical, pharmaceutical, and related industries is done using scCO2, and the fundamental processing science is an active research area. In particular, the kinetics and mechanisms of heterogeneous reactions taking place on solid surfaces immersed in a supercritical fluid are not well understood. In this work, copper metal films were etched using scCO2 to characterize this process for use in backend processing. (PDF)
Oct. 20 Host:  Karen Gleason, Department of Chemical Engineering, MIT
Presentation by
:  Dr. Kelvin Chan, Process Engineer, Applied Materials Inc.
Topic:  "Air Dielectric: CVD Sacrificial Materials"
Abstract: 
Thin film of a polymeric sacrificial material based on poly(cyclohexyl methacrylate) (PCHMA) was synthesized using initiated chemical vapor deposition (iCVD). iCVD is able to make cross-linked PCHMA in situ on the surface of a substrate in one step without using any solvents. Although cross-linked, the material was found to decompose cleanly, leaving behind a maximum of 0.3% of residue by thickness upon completion of annealing. Cross-linking renders the polymer stable in practically all solvents, so the photoresist used for patterning can be removed by dissolution instead of ashing. The high etch rate (0.35 microns/min) in oxygen reactive-ion etching in addition to the stability in solvents eliminates the need of a hard mask during etching. This use of no hard mask represents an improvement over previously-reported spin-on sacrificial materials. Infrared spectroscopy and solubility tests confirm the identity of the iCVD polymer and its stability in solvents. The onset of thermal decomposition is 270 °C, meaning that the polymer can survive other high-temperature processing steps. Fabrication using conventional lithographic, etching, and deposition techniques resulted in void structures having feature sizes of a minimum of 1.5 microns, as visualized using environmental scanning electron microcopy. With better lithographic technologies, void structures of smaller feature sizes can be fabricated. This work represents a novel approach, combining the rationale behind cross-linking and the technique required for synthesize, of air-gap fabrication using a sacrificial polymer. (PDF)
Nov. 3 Host:  Christopher Ober, Cornell University
Presentation by:  Nelson Felix, Cornell University
Topic:  "Molecular Photoresist Systems Developable in Supercritical CO2"
Abstract
Today's conventional microelectronic fabrication processes are able to produce features in the 100nm range or lower.  However, tighter regulations on toxic chemical release, as well as the increasing energy cost associated with treating rinse water, means that the semiconductor industry is primed for the adoption of alternative solvent processes.  Supercritical CO2 (scCO2) is a promising candidate as a replacement solvent.  It is inexpensive, environmentally benign, combines the best properties of both a gas and liquid, and can be easily separated from solutes.  We have recent shown scCO2 to be a prime replacement solvent for photoresist development, and have formulated photoresists for both positive and negative tone development processes.  We demonstrate the solubility of smaller non-polymeric compounds in supercritical CO2.  These small molecules, also known as molecular glasses, form amorphous films on planar substrates and show excellent solubility in scCO2 without the incorporation of fluorine or silicon.  Using a phenolic-based molecular glass, photoresist features smaller than 100nm were developed in scCO2.  (PDF)
Nov. 17 Host:  Ara Philipossian & Yun Zhuang, University of Arizona
Presentation by:  Professor Chris Rogers, Department of Mechanical Engineering, Tufts University
Topic:  "Pad Deformation"
Abstract:  At Tufts University, we have been working the last eight years to measure slurry behavior during polish in an effort to better understand how to model it.  We have been able to measure the slurry layer age, temperature, and thickness during full polishing conditions using DELIF or dual emission laser induced fluorescence.  We have also been able to look at how the pad conforms to changes in surface topography by using a YAG laser to "freeze" the flow.  I will give a brief overview of the results we have seen so far and end with some of the pictures the pad compression during polish. (PDF)
Nov. 24 No TeleSeminar -- THANKSGIVING HOLIDAY
Dec. 1 Host:  Duane Boning, Department of Materials Science, Microsystem Technology Laboratory, Massachusetts Institute of Technology
Presentation by:  Ajay Somani, Department of Materials Science, Microsystem Technology Laboratory, MIT
Topic:  Methodology for environmental impact evaluation - 2D vs 3D case study
Abstract:
  There is an immense need of incorporating environment as a factor in decision making for future technologies. This can be only possible when there is a fast and simple approach to assess the environmental impacts/hazards of new process technologies such as three-dimensional integrated circuits (3D IC) and strained silicon. These new technologies when introduced to manufacturing, they modify or initiate set of new processes. This talk briefly outlines the method to compare new technologies with standard CMOS processes in terms of environmental impact. This methodology facilitates in identifying environmentally hazardous unit processes earlier in research phase. This provides a chance to improve this unit process optimized for cost, performance and EHS before it goes to manufacturing. This seminar illustrates the particular approach using MIT 3D IC as a case-study. (PDF)
Dec. 15 Host:  Reyes Sierra, University of Arizona
Presentation by:  Dr. Frank Weber, Interconnect Division, SEMATECH (assignee from Infineon Technologies AG)
Topic:  "Advanced Cleans --- a Structured Approach"
Abstract:  Up to now the development of a cleaning process was mostly by trial and error by experienced engineers, but with the introduction of carbon containing porous materials and their sensitivity to upstream (mainly etch and ash) processes this approach had to be changed.
The cleaning process was cut into smaller defined sub-steps and investigated. For the wetting step different treatments of ultra-low k materials have been measured and for the dissolving step the concept of a material stability area has been developed to streamline future evaluation processes. This approach will allow prediction of a solvent's performance and selection of the most environmentally benign chemical.  (PDF
Dec. 29 No TeleSeminar - CHRISTMAS BREAK AT U. OF A.

Report Changes : Top : Back